Please use this identifier to cite or link to this item: http://dspace.sti.ufcg.edu.br:8080/jspui/handle/riufcg/2146
Title: Uma abordagem para suporte à verificação funcional no nível de sistema aplicada a circuitos digitais que empregam a técnica Power Gating.
Other Titles: An approach to support the system-level functional verification applied to digital circuits employing the Power Gating technique.
???metadata.dc.creator???: SILVEIRA, George Sobral.
???metadata.dc.contributor.advisor1???: MELCHER, Elmar Uwe Kurt.
???metadata.dc.contributor.referee1???: LIMA, Antonio Marcos Nogueira.
???metadata.dc.contributor.referee2???: BARROS, Edna Natividade da Silva.
???metadata.dc.contributor.referee3???: CARVALHO, João Marques de.
???metadata.dc.contributor.referee4???: ARAÚJO, Guido Costa Souza de.
???metadata.dc.contributor.referee5???: ARAÚJO, Joseana Macedo Fechine Regis de.
Keywords: Avaliação de Software;Verificação Funcional;Circuitos Digitais;Power Gating;Nível de Abstração RTL;Register Transfer Level;Tecnologias CPF;Common Power Format;Simulador OSCI;Open SystemC Initiative Simulator;Redução de Consumo de Energia;Functional Verification - Circuits
Issue Date: 10-Aug-2012
Publisher: Universidade Federal de Campina Grande
Citation: SILVEIRA, George Sobral. Uma abordagem para suporte à verificação funcional no nível de sistema aplicada a circuitos digitais que empregam a técnica Power Gating. 2012. 135 f. (Tese de Doutorado em Engenharia Elétrica), Programa de Pós-graduação em Engenharia Elétrica, Centro de Engenharia Elétrica e Informática, Universidade Federal de Campina Grande - Paraíba - Brasil, 2012.
???metadata.dc.description.resumo???: A indústria de semicondutores tem investido fortemente no desenvolvimento de sistemas complexos em um único chip, conhecidos como SoC (System-on-Chip). Com os diversos recursos adicionados ao SoC, ocorreu o aumento da complexidade no fluxo de desenvolvimento, principalmente no processo de verificação e um aumento do seu consumo energético. Entretanto, nos últimos anos, aumentou a preocupação com a energia consumida por dispositivos eletrônicos. Dentre as diversas técnicas utilizadas para reduzir o consumo de energia, Power Gating tem se destacado pela sua eficiência. Ultimamente, o processo de verificação dessa técnica vem sendo executado no nível de abstração RTL (Register TransferLevel), com base nas tecnologias CPF (Common Power Format) e UPF (Unified Power Format). De acordo com a literatura, as tecnologias que oferecem suporte a CPF e UPF, e baseadas em simulações, limitam a verificação até o nível de abstração RTL. Nesse nível, a técnica de Power Gating proporciona um considerável aumento na complexidade do processo de verificação dos atuais SoC. Diante desse cenário, o objetivo deste trabalho consiste em uma abordagem metodológica para a verificação funcional no nível ESL (Electronic System-Level) e RTL de circuitos digitais que empregam a técnica de Power Gating, utilizando uma versão modificada do simulador OSCI (Open SystemC Initiative). Foram realizados quatro estudos de caso e os resultados demonstraram a eficácia da solução proposta.
Abstract: The semiconductor industry has strongly invested in the development of complex systems on a single chip, known as System-on-Chip (SoC), which are extensively used in portable devices. With the many features added to SoC, there has been an increase of complexity in the development flow, especially in the verification process, and an increase in SoC power consumption. However, in recent years, the concern about power consumption of electronic devices, has increased. Among the different techniques to reduce power consumption, Power Gating has been highlighted for its efficiency. Lately, the verification process of this technique has been executed in Register Transfer-Level (RTL) abstraction, based on Common Power Format (CPF) and Unified Power Format (UPF) . The simulators which support CPF and UPF limit the verification to RTL level or below. At this level, Power Gating accounts for a considerable increase in complexity of the SoC verification process. Given this scenario, the objective of this work consists of an approach to perform the functional verification of digital circuits containing the Power Gating technique at the Electronic System Level (ESL) and at the Register Transfer Level (RTL), using a modified Open SystemC Initiative (OSCI) simulator. Four case studies were performed and the results demonstrated the effectiveness of the proposed solution.
Keywords: Avaliação de Software
Verificação Funcional
Circuitos Digitais
Power Gating
Nível de Abstração RTL
Register Transfer Level
Tecnologias CPF
Common Power Format
Simulador OSCI
Open SystemC Initiative Simulator
Redução de Consumo de Energia
Functional Verification - Circuits
???metadata.dc.subject.cnpq???: Engenharia Elétrica.
URI: http://dspace.sti.ufcg.edu.br:8080/jspui/handle/riufcg/2146
Appears in Collections:Doutorado em Engenharia Elétrica.

Files in This Item:
File Description SizeFormat 
GEORGE SOBRAL SILVEIRA - TESE PPGEE 2012.pdfGeorge Sobral Silveira - Tese PPGEE 20123.4 MBAdobe PDFView/Open


Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.